On-line: гостей 0. Всего: 0 [подробнее..]
АвторСообщение



Пост N: 1
Зарегистрирован: 26.04.15
ссылка на сообщение  Отправлено: 26.04.15 16:38. Заголовок: Yusynth VCO


Всем привет.
Кто-нибудь повторял модуляр Yusynth? Если да, то удалось ли добиться нормальной формы синуса у VCO?
http://yusynth.net/Modular/EN/VCO/index.html

Спасибо: 0 
ПрофильЦитата Ответить
Ответов - 25 , стр: 1 2 All [только новые]





Пост N: 16
Зарегистрирован: 18.05.14
ссылка на сообщение  Отправлено: 29.04.15 06:47. Заголовок: Хм такой не делал - ..


Хм такой не делал - зато функциональных генераторов налепил от души ..С таким простейшим "формирователем синуса" из треугольника правильного синуса не добиться никогда .. Надо ставить цепочку из резисторов и диодов или полевик в диагональ моста - все эти схемы давно известны надо только погуглить схемы функциональных генераторов .. Только надо понимать что схемы требуют вполне определенных размахов треугольника и должны подстраиваться в каждом конкретном случае ..

Спасибо: 1 
ПрофильЦитата Ответить



Пост N: 411
Зарегистрирован: 01.06.06
Откуда: РФ, Калуга
ссылка на сообщение  Отправлено: 30.04.15 23:23. Заголовок: соглашусь, что получ..


соглашусь, что получить из треугольника хороший синус крайне непросто. опыт подсказывает, что самый эффективный способ - перестраиваемый фильтр НЧ. схемотехнически это может быть даже проще, чем диодно-резисторные матрицы и другие решения. но синус будет первосортный.

Спасибо: 0 
ПрофильЦитата Ответить



Пост N: 2
Зарегистрирован: 26.04.15
Откуда: Россия, Волгоград
ссылка на сообщение  Отправлено: 01.05.15 11:49. Заголовок: Дело в том, что резу..


Дело в том, что результат на выходе SIN не похож на то, что на страничке автора модуля

То, что у получилось у меня, больше похоже на меандр со скруглёнными углами, и то - после подбора резисторов в цепи формирования синуса (потребовалось увеличить размах, чтобы появилось ограничение на диодах).

Спасибо: 0 
ПрофильЦитата Ответить



Пост N: 413
Зарегистрирован: 01.06.06
Откуда: РФ, Калуга
ссылка на сообщение  Отправлено: 01.05.15 23:09. Заголовок: если даже предположи..


если даже предположить, что амплитуда треугольника неизменна во всём диапазоне (а это не всегда так), можно добиться красивой синусоподобной формы по диапазону. но те пички, что сверху и снизу устранить практически невозможно. а они всегда будут давать синусу обертоновые призвуки. посему чистого натурального синуса этим методом как будто не получить. придётся мириться с допущениями. однако, случилось мне в своё время делать серьёзный ремонт одной Ямахе. так вот там наряду с другими волноформами был и синус. что интересно - судя по всему получался он из треугольника, потому как имел пички по краям, и форма его была какая-то немного не синусная, такой пузатый синус. но тем не менее на слух звучал очень чисто, практически без призвуков.

Спасибо: 1 
ПрофильЦитата Ответить



Пост N: 3
Зарегистрирован: 26.04.15
Откуда: Россия, Волгоград
ссылка на сообщение  Отправлено: 02.05.15 08:30. Заголовок: Спасибо за ответы. С..


Спасибо за ответы.
Собственно, я понимаю, что используемым в схеме методом получить нормальный синус, но хотелось бы сделать его похожим на тот, что у автора на фотографии.
Когда делал VCO по этой схеме http://www.birthofasynth.com/Thomas_Henry/Pages/VCO-1.html синус был очень красивым.

Спасибо: 0 
ПрофильЦитата Ответить



Пост N: 17
Зарегистрирован: 18.05.14
ссылка на сообщение  Отправлено: 06.05.15 13:07. Заголовок: Собственно, я понима..



 цитата:
Собственно, я понимаю, что используемым в схеме методом получить нормальный синус, но хотелось бы сделать его похожим на тот, что у автора на фотографии.
Когда делал VCO по этой схеме http://www.birthofasynth.com/Thomas_Henry/Pages/VCO-1.html синус был очень красивым.


А что мешает эту схему внедрить в ту ?

Спасибо: 0 
ПрофильЦитата Ответить



Пост N: 4
Зарегистрирован: 26.04.15
Откуда: Россия, Волгоград
ссылка на сообщение  Отправлено: 06.05.15 14:30. Заголовок: Blackbird пишет: А ..


Blackbird пишет:

 цитата:
А что мешает эту схему внедрить в ту ?



Да, собственно, ничего, кроме усложнения конструктива. Сравню на слух звук и, возможно, так и придётся сделать. Но всё равно - даже если не учитывать пики на картинке автора, у меня форма больше напоминает меандр - края прямые, а не наклонные, как у треугольника. Сейчас модуль разобран, включить не могу, чтобы сделать фотографию с осцилла. Как соберу - включу и выложу фото.

Спасибо: 0 
ПрофильЦитата Ответить



Пост N: 18
Зарегистрирован: 18.05.14
ссылка на сообщение  Отправлено: 07.05.15 10:50. Заголовок: Как соберу - включу ..



 цитата:
Как соберу - включу и выложу фото.


Выложите таки - интересно что там прет .. Я свою фотку синуса хотел выложить . Но какой то глюк тут на форуме был с регистрацией - 3 дня зайти не мог

Спасибо: 0 
ПрофильЦитата Ответить



Пост N: 3
Зарегистрирован: 23.05.10
ссылка на сообщение  Отправлено: 07.05.15 21:43. Заголовок: Всем привет. Я сдела..


Всем привет. Я сделал три Юсинтовских VCO, и у всех прелестнейший синус. И на слух, и на вид Надо как-то сфотографировать..

Спасибо: 0 
ПрофильЦитата Ответить



Пост N: 4
Зарегистрирован: 23.05.10
ссылка на сообщение  Отправлено: 07.05.15 22:44. Заголовок: sergio__ пишет: и ..


sergio__ пишет:

 цитата:
и на вид


правда жестче.. пички на месте
тыц1
рядом самодельный Buchla 258 L-1, у него синус покрасивше
тыц2

что-то не могу изображение вставить..


Спасибо: 0 
ПрофильЦитата Ответить



Пост N: 5
Зарегистрирован: 26.04.15
Откуда: Россия, Волгоград
ссылка на сообщение  Отправлено: 07.05.15 22:55. Заголовок: sergio__ пишет: Я с..


sergio__ пишет:

 цитата:
Я сделал три Юсинтовских VCO, и у всех прелестнейший синус.


Я собрал схему с использованием BC547 в качестве U4. На всех выходах были нормальные сигналы, кроме синуса - там был треугольник. Пришлось R23 поставить на 82к (надо посмотреть, возможно, даже меньше) - форма чуть изменилась, но синус по-прежнему не очень напоминала. Более-менее похожая форма получилась после удаления R48. Возможно (уже подзабыл), уменьшил R43.
Кстати, изначально VCO не заработал вообще. Признаки жизни появились после замены U5 - заработала только третья по счёту. Частота получилась в районе 500кГц. Только после замены C8 частота пришла в норму (регулировалась в районе примерно от 15Гц до 10кГц) после замены C8 на 220Н, что во много раз больше, чем по схеме. Написал автору, он утверждает, что номинал C8 на схеме указан правильно. Кстати, при сборке модулей (кроме VCO собрал несколько других) обнаружились ошибки в обозначениях элементов. Не было ли аналогичных проблем при сборке VCO?

На выходных планирую продолжить работу с модулями, буду скручивать MIDI2CV и VCO в первую очередь, тогда сделаю фото с осциллографа.

Кстати, MIDI2CV делал по схеме http://www.acxsynth.com/midi2cv/midi2cvfr.htm , но не очень нравится, как работает - периодически зависает при быстром одновременном нажатии нескольких клавиш. Можно, конечно, покопаться в исходниках и поискать источник проблемы, но, может, есть другая проверенная схема аналогичного модуля на другом контроллере?

Спасибо: 0 
ПрофильЦитата Ответить



Пост N: 5
Зарегистрирован: 23.05.10
ссылка на сообщение  Отправлено: 07.05.15 23:11. Заголовок: Нет, VCO "пошел&..


Нет, VCO "пошел" как раз нормально. Все они по "третьей" схеме(с ВС547-ми). R23 я ставил 82к сразу, С8 - silver mica 270 пф . С LM311, наверное, повезло, из хорошей партии оказались. Все практически резисторы я ставил "наши" С2-29В. U3 в двух моих VCO - LF442, в третьей - мелкоразмерная OPA2137 на переходнике (не смог найти в дип-корпусе).
А какие ошибки в обозначениях?
Помнится, в Юсинтовском ADSRе ошибка в подключении светодиода..
кстати, ни один из Юсинт -VC-LFO у меня не работает.Ни первая, ни вторая версии. Отложил пока, буду разбираться..

MIDI2CV я собрал такой же, но еще не тестировал.
здесь пишут, что, возможно, косяк в старой прошивке, там выложены новые версии.. не могу пока ничего сказать, надо свой опробовать..

Спасибо: 0 
ПрофильЦитата Ответить



Пост N: 6
Зарегистрирован: 26.04.15
Откуда: Россия, Волгоград
ссылка на сообщение  Отправлено: 07.05.15 23:29. Заголовок: Хм... Не понимаю... ..


Хм... Не понимаю... Делал всё по схеме...
В качестве U3 использовал бюджетную TL072. Термокомпенсаторы купил такие: http://www.ebay.com/itm/6x-TYCO-TEMPCO-RESISTORS-PTC-3000PPM-C-1K-ANALOGUE-SYNTH-EXPONENTIAL-LOG-/281430702590?&_trksid=p2056016.m2518.l4276 , все платы сделаны в "Спринте" с перерисовкой 1:1 ("подкладывал" рисунки плат с сайта автора и по ним рисовал), перед изготовлением перепроверял несколько раз. Резисторы, судя по проволокам выводов - китай, каждый проверял мультиметром. Однопроцентники отбирал из кучи пятипроцентников, чтобы не было выхода номинала за пределы 1%. С8 - обычная дешёвая плёнка на 220 нанофарад (как писал выше, 220пФ дал странный результат по частоте).
LFO сделал Quadrature VC-LFO (http://yusynth.net/Modular/EN/QUAD-LFO/index.html), но ещё не включал. Это с ним проблемы, он не работает?
Ошибки в обозначениях элементах в таблицах BOM. Какие именно, на память не скажу, но, например, один и тот же резистор мог быть указан дважды в разных номиналах. Приходилось собирать и постоянно сверяться с принципиальной схемой.

Будущие модули :)



Спасибо: 0 
ПрофильЦитата Ответить



Пост N: 6
Зарегистрирован: 23.05.10
ссылка на сообщение  Отправлено: 07.05.15 23:35. Заголовок: QUAD LFO я тоже сде..


QUAD LFO я тоже сделал, он как раз отлично работает. Не хотят работать эти http://yusynth.net/Modular/EN/LFO/index.html
Я вот иногда пытаюсь что-нибудь почерпнуть здесь http://home.yusynth.net/forum/viewforum.php?f=2&sid=73773f6b76ad326a1678987aa6dfae1f
и здесь http://waldorf.electro-music.com/forum/forum-170.html
Вместе с гугл-переводчиком пытаемся почитать про всякие юсинтовские косяки, там , оказывается, много их..

Спасибо: 0 
ПрофильЦитата Ответить



Пост N: 7
Зарегистрирован: 26.04.15
Откуда: Россия, Волгоград
ссылка на сообщение  Отправлено: 07.05.15 23:49. Заголовок: sergio__ пишет: Не ..


sergio__ пишет:

 цитата:
Не хотят работать эти http://yusynth.net/Modular/EN/LFO/index.html


Судя по количеству фотографий, модули всё же жизнеспособны, но если есть ошибки в описаниях элементах, то они могут быть и в схемах :)
Буду досконально перепроверять собранный VCO, если он работает сразу после сборки и с указанным по схеме номиналом С8, значит, у меня где-то ошибка.

Спасибо за ссылки, буду почитать. Вообще, очень раз видеть, что и у нас занимаются синтостроением, я не одинок :)
Правда, паяльник мешает нормально начать учиться играть. Сколько раз зарекался и откладывал паяльник - надолго не хватает... :)

Спасибо: 0 
ПрофильЦитата Ответить



Пост N: 7
Зарегистрирован: 23.05.10
ссылка на сообщение  Отправлено: 08.05.15 00:12. Заголовок: у меня наоборот... ..


у меня наоборот... играл, играл,.. а потом ка-ак взял паяльник и не отпускаю..

Спасибо: 0 
ПрофильЦитата Ответить



Пост N: 414
Зарегистрирован: 01.06.06
Откуда: РФ, Калуга
ссылка на сообщение  Отправлено: 08.05.15 00:28. Заголовок: коллеги, раз уж вам ..


коллеги, раз уж вам не спится в поздний час - примите поздравления с профессиональным праздником. День Радио, однако.

Спасибо: 0 
ПрофильЦитата Ответить



Пост N: 8
Зарегистрирован: 26.04.15
Откуда: Россия, Волгоград
ссылка на сообщение  Отправлено: 08.05.15 07:21. Заголовок: sergio__ пишет: у м..


sergio__ пишет:

 цитата:
у меня наоборот... играл, играл,.. а потом ка-ак взял паяльник и не отпускаю..


Ну так инструментом уже научился пользоваться - можно и за паяльник браться. А я вот, как говорится, "под сраку лет" решил овладеть клавишами, а паяльник не даёт. И всё новые поводы для этого появляются. Недавно у себя в столе обнаружил плату терменвокса "Etherwave" - я уже и забыл про неё. Рядом элементы корпуса - вырезал из оргстекла и отложил. Тоже надо заниматься. Играть, думаю, я на нём так и не научусь, очень уж мудрёная штука, наверное, похлеще скрипки, но, может, дочек заинтересует... :)

igor_chop пишет:

 цитата:
коллеги, раз уж вам не спится в поздний час - примите поздравления с профессиональным праздником. День Радио, однако.


С Днём Радио!

Спасибо: 1 
ПрофильЦитата Ответить



Пост N: 8
Зарегистрирован: 23.05.10
ссылка на сообщение  Отправлено: 08.05.15 13:28. Заголовок: AlexBel пишет: С Дн..


AlexBel пишет:

 цитата:
С Днём Радио!




Спасибо: 0 
ПрофильЦитата Ответить



Пост N: 9
Зарегистрирован: 26.04.15
Откуда: Россия, Волгоград
ссылка на сообщение  Отправлено: 09.05.15 10:55. Заголовок: Нашёл фотографии осц..


Нашёл фотографии осциллограмм.
Пила:

"Синус", после вышеописанного подбора номиналов элементов:

Меандр:

Треугольник:


Хотел сделать в спойлерах, но, почему-то, спойлеры работают некорректно.

Спасибо: 0 
ПрофильЦитата Ответить



Пост N: 1
Зарегистрирован: 09.05.15
ссылка на сообщение  Отправлено: 09.05.15 18:37. Заголовок: Ох что ж у вас такие..


Ох что ж у вас такие крупные фотки то - неужто уменьшить нельзя - 800x600 само то бы было ..
Вот примерно так

В общем насчет синуса - у вас глубокое ограничение треугольника .. Это надо вместо резисторов R43 b R48 поставить подстроечники наверное по мегому где то . и очень долго кртутить ОБА подбирая это самое формирование синуса ... ессно контроллируя сигнал по осциллографу .. Вообще формирователи синуса из треугольника ОЧЕНЬ чувствительны к амплитуде сигнала - то бишь шаг влево- шаг вправо уже "не синус" . Ну и да тип кремниевых диодов тоже влияет и от температуры все это тоже едет ..
Как я уже писал у меня формирователь синуса на полевике в диодном мосте - синус выглядит вот так

Не сказать что очень здорово но по спектроанализатору дает минимум гармоник и то хорошо :)

Спасибо: 0 
ПрофильЦитата Ответить



Пост N: 10
Зарегистрирован: 26.04.15
Откуда: Россия, Волгоград
ссылка на сообщение  Отправлено: 09.05.15 20:25. Заголовок: Я хотел фотки вообще..


Я хотел фотки вообще в спойлеры загнать, чтобы минимум места занимали. Но почему-то все четыре открывали одну и ту же фотографию, так что пришлось их убрать, а размер забыл изменить.
Спасибо за совет, модуль сегодня собрал, попробую. Правда, сперва нужно разобраться с частотой - почему-то частотозадающий конденсатор пришлсь поставить в десятки раз большей ёмкости, чтобы была нормальная частота.
Синус симпатичный, мне хотя бы такой получить.

Спасибо: 0 
ПрофильЦитата Ответить



Пост N: 2
Зарегистрирован: 09.05.15
ссылка на сообщение  Отправлено: 09.05.15 21:52. Заголовок: дык это делается про..


дык это делается просто но настраивается ДОЛГО
А вообще у меня стоит подобный формирователь


Спасибо: 0 
ПрофильЦитата Ответить



Пост N: 415
Зарегистрирован: 01.06.06
Откуда: РФ, Калуга
ссылка на сообщение  Отправлено: 09.05.15 23:33. Заголовок: вспомнилось: если тр..


вспомнилось: если треугольник предварительно подрезать по краям, тоесть сделать трапецию, и именно не ограничить со скруглением, а срезать плоско вершины, то пички на синусе исчезают. кроме этого, изменяющаяся при перестройке по частоте амплитуда треугольника уже не так сильно влияет на результат. уровень обрезки, понятно, нужно тщательно подбирать. дело давнее, детали не помню, однако один хрен результаты как-то не впечатлили, хотя на слух было приемлемо. но, повторюсь, идеальный результат - перестраиваемый фнч. не так затратно, кстати.

Спасибо: 0 
ПрофильЦитата Ответить



Пост N: 11
Зарегистрирован: 26.04.15
Откуда: Россия, Волгоград
ссылка на сообщение  Отправлено: 07.09.15 12:07. Заголовок: Обнаружилась проблем..


Обнаружилась проблема с VCO - при включении конструкции в сборе (блок питания подключён к VCO) генератор не запускается. Вернее, запускается, но далеко не всегда. Если подключить коннектор питания при предварительно включённом БП, то запуск происходит почти всегда, я бы сказал, в 99% случаев. В случае незапуска генератора подача импульсов +15 вольт на входы синхронизации не помогает. Кто-нибудь сталкивался с подобным? Если да, то как лечили?

Кстати, проблема с формой синуса оказалась не актуальной - после фильтра получается очень красивый синус. Зато остальные сигналы изменяются до неузнаваемости :)

Спасибо: 0 
ПрофильЦитата Ответить
Ответов - 25 , стр: 1 2 All [только новые]
Ответ:
1 2 3 4 5 6 7 8 9
большой шрифт малый шрифт надстрочный подстрочный заголовок большой заголовок видео с youtube.com картинка из интернета картинка с компьютера ссылка файл с компьютера русская клавиатура транслитератор  цитата  кавычки моноширинный шрифт моноширинный шрифт горизонтальная линия отступ точка LI бегущая строка оффтопик свернутый текст

показывать это сообщение только модераторам
не делать ссылки активными
Имя, пароль:      зарегистрироваться    
Тему читают:
- участник сейчас на форуме
- участник вне форума
Все даты в формате GMT  3 час. Хитов сегодня: 0
Права: смайлы да, картинки да, шрифты да, голосования нет
аватары да, автозамена ссылок вкл, премодерация откл, правка нет